Intel has new roadmap, but can it stay on course?

Intel’s unveiling earlier this week of a multi-year processing and packaging technology roadmap that leverages several new technology innovations was a bold declaration that the company is aiming to regain its edge and doesn’t intend to yield to pressure from its rivals. But the question now is: Can Intel go out and prove it can reach all the milestones on its roadmap without delays?

“That is the question everybody’s asking,” said Ben Sheen, semiconductor research director for enabling technologies at IDC, in an interview with Fierce Electronics. Sheen noted that under former CEO Bob Swan, Intel also had a roadmap, but ran into delays along the way. “Now, they need to prove they can actually deliver on what they said they are going to do,” he said.

Intel’s roadmap lays out what one company executive described this week as an “annual cadence of innovation” that will deliver four generations of chip architectures between now and 2025, with a fifth in development that year. As it works toward those milestones, Intel also is adopting a new node-naming program designed to change perceptions about how much it may have fallen behind rivals like TSMC and Samsung.

As Wayne Lam, senior director, research, Americas, at CCS Insights, said via email, “Intel is strategically taking back control of process node nomenclature...  Since TSMC and Samsung promote their process nodes by numbers (i.e. 5nm 3nm, etc), the perception to the market is that they are a few process nodes ahead of Intel, while there is room for criticism for using 5nm when the actual gate length is larger than that.”

Lam explained that Intel is now “in high volume 10nm production and will move to a 10nm+ process with Intel 7 [due to be in production in early 2022.]” The next generation after that will be Intel 4, which previously was referred to a 7 nm product. “Intel argues that their 7nm is as good as TSMC/Samsung 5nm – that’s debatable but as you can see from the naming, the industry benchmark is not kind to Intel’s progress...”

Sheen added, “The Wall Street guys would look at this and see Intel as being far behind.”

Lam continued, “Much like the pivot from talking about MHz and GHz speed on x86 CPUs to number and quality of cores, Intel is attempting to do the same with their process technologies.  With that said, Intel’s process roadmap is quite aggressive since they are planning to get to industry parity by 2024 [with the 20A process] and take lead in 2025 [with the 18A architecture.]”

Regardless of whether or not that happens according to plan, Intel is at least making an effort to update its approach to processing and packaging for an era in which Moore’s Law arguably is less valid than it once was. Lam said the company is retiring what he described as a “tick-tock” process evolution. “Intel, under Gelsinger, is breaking away from decades of conventions in developing and deploying new processes. The fact that Intel will no longer pause (tock) after the initial process upgrade (tick), and adopt an annual cadence of new process improvements speaks to the fact that Moore’s Law is teetering on collapse.”

RIbbonFET, PowerVia, EUV

As Intel navigates a market in which product value becomes less focused solely on performance and more on achieving the right mix of performance, power efficiency, memory and other factors, it is aiming to leverage several new technologies. These include the gate-all-around RibbonFET transistor architecture and backside power delivery design PowerVia, both of which are expected to come into play with the Intel 20A.

Intel’s embrace of these designs is an aggressive move that could give it a brief edge over some rivals, but the concepts behind both technologies are well-known, and like Intel, other companies have working on developing their own versions. Jack Gold, principal analyst at J. Gold Associates, said, “Innovations in tech don’t stay unique to one company for that long, but clearly Intel is innovating with both technologies. I would expect others to catch up over 2-3 years, as they have done with other Intel innovations [e.g. Intel’s optimized FinFET architecture driving its Intel 7], but this certainly gives Intel an edge for a few years, and if they can execute the tech better than others do, then there is even more advantage to Intel.”

Meanwhile, another technology Intel plans to leverage is extreme ultraviolet (EUV) lithography, which can speed up the lithography process during manufacturing. EUV lithography is expected to be used in the Intel 4 process, although published reports have questioned if Intel has access to enough EUV machines and tools. TSMC is reported to have a strong position in EUV tools, and Samsung and GlobalFoundries have been working with it as well. Intel plans to be the first company to use next-generation high-numerical aperture (High-NA) EUV lithography, but as Sheen said, “That requires new equipment that is still years away from being available.”

The future products on Intel’s roadmap also will leverage its previously announced Foveros 3D packaging technology. Gold stated in a deeper analysis of Intel's announcement, “Intel’s next generation Foveros technology (Foveros Omni with power and signal integrity boost, and Foveros Direct with direct copper to copper chip connections and through holes (PowerVIA) will give it a distinct advantage in producing the complex higher end System on a Chip (SoC) products needed in future data centers, AI, Machine Learning Edge Computing, and what it calls XPU designs.”

Gold said he believes that Intel’s competitors, “while not sitting still, will have to play catch-up to Intel’s innovations in packaging. While this is less of an issue for smaller less complex chips that can be monolithically produced, future high-performance and complex SoC designs will require advanced packaging techniques and not just advanced processing nodes.”

IFS uncertainty

As Intel looks to delivery on its roadmap, its plans for Intel Foundry Services (IFS) also will face continued scrutiny. IFS will rely on a strong flow of materials and tools to help Intel increase its manufacturing and packaging of its own and third-party semiconductors. Can it be a viable business for Intel? A major endorsement of the company's IFS plans arrived this week in the naming of the first two IFS customers--Qualcomm and Amazon Web Services. However, little is known about the nature of these relationships, the orders that could result or the pricing involved. 

"They did not say anything about wafer cost, and in order for Intel to gain traction in the foundry business, pricing will have to be the Number 1 priority," Sheen said.